出租车计价器设计

时间:2024-06-15 00:58:40编辑:分享君

出租车计价器程序设计与原理图

用单片机好做,但如果用模拟电路和数字电路来搭建这样复杂的功能,恐怕做出来要比现有的成本计价器大几十倍,而且耗电巨大,成本更高,何必要这样做呢?只因为没有学到就要这样不计成本的浪费吗?
如果是急求的话,可以花钱招一个懂单片机的人来搞,没有人能提供不用单片机的电路的,太复杂了,不可能实现。

首先要看里程传感器的输出是模拟的还是数字的。
无论何种里程传感器,
可以用数字积分器,简单些;也可以用模拟积分器,这样设计和调试的难度就很大。


出租车计价器设计

电子技术课程设计

出租车自动计费器






学院:华科学院
专业:电气工程及其自动化
班级:
姓名:
学号:
指导老师:
2008年1月2日
目 录

一、设计任务与要求-------------- 1

二、总体框图----------------- 1

三、器材选择---------------- 1

四、模块功能----------------- 5

五、总体设计电路图-------------- 9

六、心得体会-----------------------10









出租车计费器
一. 设计任务与要求


出租车自动计费器根据客户用车的实际情况而自动显示用车费的数字仪表,根据用车起价,行车里程计费及等候时间计费三项求出客户用车的总费用,通过数码自动显示。
1. 里程测量精确到1km。
2. 起步价按7元/3km,起步价外按1元/进行机费。
3. 等候按1元/60 min计费。
4. 具有里程显示,收费显示,里程单价显示。


二.总体框图



三.器件选择

A.十进制计数器74LS160
1.74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。其引脚图见图 。
先对74LS160的基本功能进行测试,逻辑功能表如下图。
①异步清零:当 CLR=0时,Q 0=Q1=Q2=Q3=0。
②同步预置:当 LOAD=0时,在时钟脉冲CP上升沿作用下,Q 0=D0,Q1=D1,Q2=D2,Q3=D3。
③锁存:当使能端 时,计数器禁止计数,为锁存状态。
④计数:当使能端EP=ET=1时,为计数状态。


功能管脚图
2. 74LS160的逻辑功能表

时钟CP 异步清除 同步置数 EP ET 工 作 状 态
× 0 × × ×
↑ 1 0 × ×
× 1 1 0 1
× 1 1 × 0
↑ 1 1 1 1

3.74LS160的逻辑图

B.555定时器

它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
功能管脚图





功能管脚图

逻辑图


功能表

74LS192
74LS192是十进制同步加法、减法器,采用8421BCD码编码,具有直接清零,异步置数的功能。
CPU CPD LD CR 操作
随意 随意 0 0 置数
脉冲 1 1 0 加数
1 脉冲 1 0 箭术
随意 随意

四.功能模块

1.里程计算与显示电路

里程计算模块对测距传感器发出的0.1km的脉冲信号进行计数,计满10个脉冲表示1km。里程计算与显示电路如下图。






如上图当所示,用三片74ls160接成三个十进制计数器,由信号发生器触发脉冲,每触发一个脉冲为出租车行进中的0.1km,第一块加法计数器累计十次脉冲,向第二快加法计数器输去一次脉冲,当第二块加法计数器累计到十次时,灯泡亮一次,表示出租车向前行进了1km.断开开关J1实现同步清零。


2.里程比较电路

基本里程设定为3km。当实际行驶距离超过基本里程时,则在原有计费的基础上加上每公里单价,里程比较电路如下图所示。





里程比较电路如上图所示,用两片74LS160接成30进制计数器,由信号发生器触发脉冲,每触发一个脉冲为出租车行进中的0.1km,当计满后触发触发器D使其输出(Q)为1,作为超基本里程计费的闸门信号,Q=1后启动超基本里程计费电路,由每公里的触发脉冲触发计费电路实现费用累加。一旦实际行驶里程超过了基本里程,Q非封锁30计数器,使里程比较电路停止计数,一直到总清零信号(总清信号清除模3计数和D触发器)后才开始新一轮的里程比较。


3.侯时电路

用555够成多谐振荡电路,然后用计数器按秒,分计时,1min给里程计数器一个0.1km的脉冲,侯时电路如下图所示

侯时电路如上图所示,当一分钟后触发一次脉冲,灯泡亮一次。

用555定时器构成秒脉冲发生器
电路如下图

五.总体设计电路图

侯时电路,里程比较电路,里程计算与显示电路,相互叠加,设置起步价7元
同过缓存器,锁存器的连接,信号的积累,显示总价。


六.心得体会

两周的课程设计时间很快就过去了,虽然它的时间很短,我们很匆忙,很辛苦,课

程设计真的很不容易,在这三周里,我一次次告诉自己要坚持,再坚持通过两周以来同学

和老师的共同努力,我们终于完成了电子课程。作完之后,我组的全体成员都大吸了一口

气,然后感叹道:终于做完了,可把我们可累苦了。但大家脸上的表情都是欣慰和欢喜的,

到底工夫不负有心人。俗话说的好:苦不苦想想红军长征二万五。当年红军爬雪山,越草

地,与自然做斗争,冰天雪地的。我们饿了还有香喷喷的饭菜吃,他们呢?吃草皮,啃树

皮,甚至连自己身上的皮带都煮着吃了,比起他们来我们幸福多了,看着自己的劳动成果:

面包板上大大小小的芯片,密密麻麻的连接线,大家都笑了,我们四目相接,面面相觑,

都在感慨实习终于结束了。不知道是因为心情好还是太累, 回去特舒服,特别的塌实 。

这次的课程设计使我认识到我在学习理论方面有很多的不足,通过向其他同学询问和学

习,才算基本上搞了出来。用555定时器产生秒脉冲,74LS160做10进制计数器,用不同

的器件组建不同的模块,从而达到最终的设计要求。

课程设计让我体会到知识上的收获重要,精神上的丰收更加可喜。让我知道了学无

止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山

峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程

设计必将成为我人生旅途上一个非常美好的回忆!


出租车计价器设计

实验任务及要求
1.能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按1.6元/公里,车暂停时,停车一分钟之后开始加价,每分钟增加2.5元。
2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。
3. 实现模拟功能:能模拟汽车启动、停止、暂停等状态。
4. 设计动态扫描电路:将车费及暂停时间显示出来。
5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。
6. 综合仿真验证,并通过有关波形确认电路设计是否正确。
7. 完成电路全部设计后,通过GW48系统实验箱下载验证设计的正确性。
二、实验原理


图1出租车计价器流程图

图2系统结构图
接口部分定义如下:
输入:clk_240,系统时钟,频率为240Hz;
Start,启动信号,当start=1时,汽车启动,开始计价; 当start=0时,清零;
Stop,暂时计时信号,当stop-1时,中途暂停,开始计时;当stop=0时,再次出发;
Fin,汽车车速脉冲信号,是一个与随着车速变化而变化的脉冲信号。
输出:cha2,cha1,cha0,分别为价钱的十位,个位和角位;
Km1,km0,分别为行驶公里数的十位,个位;
Min0,暂时分钟数输出。
接口部分源程序如下:
port ( clk_240 :in std_logic; --频率为240Hz的时钟
start :in std_logic; --计价使能信号
stop:in std_logic; --等待信号
fin:in std_logic; --公里脉冲信号
cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据
km1,km0:out std_logic_vector(3 downto 0); --公里数据
min0: out std_logic_vector(3 downto 0)); --等待时间
计价部分原理如下:
起步价10元,3公里内10元,超出3公里部分,每公里1.6元,车暂停时,2分钟内不加价,超出2分钟部分,每分钟2.5元;
计费部分源程序如下:
feipin:process(clk_240,start)
begin
if clk_240'event and clk_240='1' then
if start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';
else
if q_15=15 then q_15<=0;f_15<='1'; --此IF语句得到频率为15Hz的信号
else q_15<=q_15+1;f_15<='0';
end if;
if q_16=14 then q_16<=0;f_16<='1'; --此IF语句得到频率为16Hz的信号
else q_16<=q_16+1;f_16<='0';
end if;
if q_1=239 then q_1<=0;f_1<='1'; --此IF语句得到频率为1Hz的信号
else q_1<=q_1+1;f_1<='0';
end if;
if en1='1' then f<=f_15; --此IF语句得到计费脉冲f
elsif en0='1' then f<=f_16;
else f<='0';
end if;
end if;
end if;
end process;

process(f_1)
begin
if f_1'event and f_1='1' then
if start='0' then
w<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";
elsif stop='1' then
if w=59 then w<=0; --此IF语句完成等待计时
if m0="1001" then m0<="0000"; --此IF语句完成分计数
if m1<="101" then m1<="000";
else m1<=m1+1;
end if;
else m0<=m0+1;
end if;
if m1&m0>"0000001"then en1<='1'; --此IF语句得到en1使能信号
else en1<='0';
end if;
else w<=w+1;en1<='0';
end if;
elsif fin='1' then
if k0="1001" then k0<="0000"; --此IF语句完成公里脉冲计数
if k1="1001" then k1<="0000";
else k1<=k1+1;
end if;
else k0<=k0+1;
end if;
if k1&k0>"00000010" then en0<='1'; --此IF语句得到en0使能信号
else en0<='0';
end if;
else en1<='0';en0<='0';
end if;
cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出
km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出
end if;
end process;

process(f,start)
begin
if start='0' then c3<="0000";c2<="0001";c1<="0000";c0<="0000";
elsif f'event and f='1' then
if c0="1001" then c0<="0000"; --此IF语句完成对费用的计数
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3<="1001" then c3<="0000";
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end behav;


出租汽车计价器的介绍

本书是JJG517—1998《出租汽车计价器》国家计量检定规程的宣贯教材。全书简要介绍了出租汽车及出租汽车计价器的历史及发展,全面讲述了出租汽车计价器的工作原理,详细阐述了出租汽车计价器的样机试验的要求、方法和出租汽车计价器的检定要求、方法、程序和误差处理方法,并对出租汽车计价器的安装、使用和检定场地的设计做了详细的介绍。本书作为国家质量技术监督局计量司组编的宣贯教材之一,有较好的实用性及参考价值,可作为各计量部门宣贯、培训的教材,并供从事出租汽车计价器检定和生产工作的工程技术人员、检修人员、管理人员参考使用。

出租车计价器设计目的和意义

亲亲,很高兴为您解答,出来租车计价器设计的目的和意义, 现代社会中,出租车计价系统是乘客与司机都不可或缺的设备,同时是出租车中相当重要的组成部分,没有了出租车计价系统出租车便寸步难行,会给司机和乘客带来很大的麻烦,出租车计价系统更是现代出租车行业发展的标志性设备之一,出租车行业的发展有很大一部分都体现在计价系统的更新和换代上。它对于交易双方即乘客和司机都利益相关。一个设计不完善,性能不够优良,不够效率的计价系统会使得乘客不满,并且使司机师傅工作更加复杂,而整个行业都使用设计不完善,性能不够良,不够效率的计价系统会使得整个出租车行业的发展变得缓慢。因此,在高速发展的天,无论是出租车司机还是乘客都迫切的需要性能良好的出租车计价系统。因此出租车计价系统设计真有箱当关的研究价值,同时也具有很深刻的社会意义。【摘要】
出租车计价器设计目的和意义【提问】
亲亲,很高兴为您解答,出来租车计价器设计的目的和意义, 现代社会中,出租车计价系统是乘客与司机都不可或缺的设备,同时是出租车中相当重要的组成部分,没有了出租车计价系统出租车便寸步难行,会给司机和乘客带来很大的麻烦,出租车计价系统更是现代出租车行业发展的标志性设备之一,出租车行业的发展有很大一部分都体现在计价系统的更新和换代上。它对于交易双方即乘客和司机都利益相关。一个设计不完善,性能不够优良,不够效率的计价系统会使得乘客不满,并且使司机师傅工作更加复杂,而整个行业都使用设计不完善,性能不够良,不够效率的计价系统会使得整个出租车行业的发展变得缓慢。因此,在高速发展的天,无论是出租车司机还是乘客都迫切的需要性能良好的出租车计价系统。因此出租车计价系统设计真有箱当关的研究价值,同时也具有很深刻的社会意义。【回答】


出租车计价器按钮功能

您好,出租车计价器上三个按键分别是: 1.重置按键:用于重置出租车计价器,将里程和费用置为0。2.计费按键:用于计算出租车的行驶里程和费用,根据行驶的里程和费率来计算出租车的费用。3.打印按键:用于打印出租车行驶的里程和费用,以便乘客查看和确认。【摘要】
出租车计价器按钮功能【提问】
您好,出租车计价器上三个按键分别是: 1.重置按键:用于重置出租车计价器,将里程和费用置为0。2.计费按键:用于计算出租车的行驶里程和费用,根据行驶的里程和费率来计算出租车的费用。3.打印按键:用于打印出租车行驶的里程和费用,以便乘客查看和确认。【回答】
您能补充下吗,我有点不太理解【提问】
您也可以理解为1、"启动/停止"按键:可以通过按下该按钮启动或停止出租车计价器; 2、"费率"按键:用户可以按下"费率"按键,调整出租车计价器的计价标准,以满足不同的乘客需求; 3、"重置"按键:用户可以按下"重置"按键,清空出租车计价器的收费累计,以便于重新开始计价。【回答】


课程设计出租车计价器,用VERILOG语言编写

我去年做过这个,和你的要求差不多,暂停键相当于你的停止计费键,停止键详单与你的归零键,换挡键你就不用管它(按一档的速度运行),晶振的能改成50M就行了,能调的通。

1.设计要求
设计一个出租车计费器,能按路程计费,具体要求如下
(1)实现计费功能,计费标准为:按行驶里程计费,起步价为6.00元,并在车行驶3km后按1.2元/km计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止和暂停时不计费。
(2)现场模拟汽车的启动、停止、暂停、和换档等状态。
(3)设计数码管动态扫描电路,将车费和路程显示出来,各有两位小数。
2.设计原理
设该出租车有启动键、停止键、暂停键、和挡位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车以启动,并根据车速的选择和基本车速发出响应频率的脉冲(计费脉冲)来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;挡位键用来改变车速,不同的挡位对应着不同的车速,同时路程计数的速度也不同。
出租车计费器可分为两大模块:控制模块和译码显示模块,系统框图如图9-9-1所示。控制模块实现了计费和路程的计数,并且通过不同的挡位来控制车速 。译码显示模块实现十进制到4为十进制的转换以及车费和路程的显示 。



module taxi(scan,seg7,dp,clk20mhz,clk,start,stop,pause,speedup);
output[7:0] scan; //数码管地址选择信号
output[6:0] seg7; //7段显示控制信号(abcdefg)
output dp; //小数点
input clk20mhz; //系统时钟为20MHz
input clk; //计费时钟
input start; //汽车起动
input stop; //汽车停止
input pause; //汽车暂停
input[1:0] speedup; //挡位(4个挡位)
reg[7:0] scan;
reg[6:0] seg7;
reg dp;
reg[15:0] money_reg; //车费寄存器
reg[15:0] distance_reg; //路程寄存器
reg[3:0] num; //控制车速的计数器
reg[15:0] dis; //千米计数器
reg d; //千米标志位
reg clk1khz; //1kHz的分频时钟,用于扫描数码管地址
reg[3:0] data;
reg[3:0] m_one,m_ten,m_hun,m_tho; //钱数的4位十进制表示
reg[3:0] d_one,d_ten,d_hun,d_tho; //路程的4位十进制表示
reg[15:0] count;
reg[15:0] comb1;
reg[3:0] comb1_a,comb1_b,comb1_c,comb1_d;
reg[15:0] comb2;
reg[3:0] comb2_a,comb2_b,comb2_c,comb2_d;
reg[2:0] cnt;

always @(posedge clk)
begin
if(stop) //汽车停止,计费和路程清零
begin money_reg<='d0;
distance_reg<='d0;
dis<='d0;
num<='d0;
end
else if(start) //汽车起动后,起步价为6元
begin money_reg<='d600;
distance_reg<='d0;
dis<='d0;
num<='d0;
end
else
begin
if(!start&&!speedup&&!pause&&!stop) //1挡
begin
if(num=='d9)
begin num<='d0;
distance_reg<=distance_reg+1;
dis<=dis+1;
end
else
begin num<=num+1; end
end
else if(!start&&speedup=='b01&&!pause&&!stop) //2挡
begin
if(num=='d9)
begin num<='d0;
distance_reg<=distance_reg+2;
dis<=dis+2;
end
else
begin num<=num+1; end
end
else if(!start&&speedup=='b10&&!pause&&!stop) //3挡
begin
if(num=='d9)
begin num<='d0;
distance_reg<=distance_reg+5;
dis<=dis+5;
end
else
begin num<=num+1; end
end
else if(!start&&speedup=='b11&&!pause&&!stop) //4挡
begin
distance_reg<=distance_reg+1;
dis<=dis+1;
end
end
if(dis>='d100)
begin d<='d1;dis<='d0; end
else
begin d<='d0; end
if(distance_reg>='d300) //如果超过3km则按1.2元/km计算
begin
if(money_reg<'d2000&&d=='d1)
begin money_reg<=money_reg+'d120; end
else if(money_reg>='d2000&&d=='d1)
begin money_reg<=money_reg+'d180; end
end
//-------------------当计费器达到20元时,每千米加收50%的车费-------------
end
//---------------------------1kHz的分频时钟,用于扫描数码管地址----------------------
always @(posedge clk20mhz)
begin
if(count=='d10000)
begin clk1khz<=~clk1khz;count<='d0; end
else
begin count<=count+1; end
//----------------------------将车费的十进制数转化为4位十进制数-----------------------
if(comb1<money_reg)
begin
if(comb1_a=='d9&&comb1_b=='d9&&comb1_c=='d9)
begin
comb1_a<='b0000;
comb1_b<='b0000;
comb1_c<='b0000;
comb1_d<=comb1_d+1;
comb1<=comb1+1;
end
else if(comb1_a=='d9&&comb1_b=='d9)
begin
comb1_a<='b0000;
comb1_b<='b0000;
comb1_c<=comb1_c+1;
comb1<=comb1+1;
end
else if(comb1_a=='d9)
begin
comb1_a<='b0000;
comb1_b<=comb1_b+1;
comb1<=comb1+1;
end
else
begin
comb1_a<=comb1_a+1;
comb1<=comb1+1;
end
end
else if(comb1==money_reg)
begin
m_one<=comb1_a;
m_ten<=comb1_b;
m_hun<=comb1_c;
m_tho<=comb1_d;
end
else if(comb1>money_reg)
begin
comb1_a<='b0000;
comb1_b<='b0000;
comb1_c<='b0000;
comb1_d<='b0000;
comb1<='d0;
end
//---------------------------将路程的十进制转化为4位十进制数-----------------------
if(comb2<distance_reg)
begin
if(comb2_a=='d9&&comb2_b=='d9&&comb2_c=='d9)
begin
comb2_a<='b0000;
comb2_b<='b0000;
comb2_c<='b0000;
comb2_d<=comb2_d+1;
comb2<=comb2+1;
end
else if(comb2_a=='d9&&comb2_b=='d9)
begin
comb2_a<='b0000;
comb2_b<='b0000;
comb2_c<=comb2_c+1;
comb2<=comb2+1;
end
else if(comb2_a=='d9)
begin
comb2_a<='b0000;
comb2_b<=comb2_b+1;
comb2<=comb2+1;
end
else
begin
comb2_a<=comb2_a+1;
comb2<=comb2+1;
end
end
else if(comb2==distance_reg)
begin
d_one<=comb2_a;
d_ten<=comb2_b;
d_hun<=comb2_c;
d_tho<=comb2_d;
end
else if(comb2>distance_reg)
begin
comb2_a<='b0000;
comb2_b<='b0000;
comb2_c<='b0000;
comb2_d<='b0000;
comb2<='d0;
end
end
//-----------------------------数码管动态扫描----------------------------------
always @(posedge clk1khz)
begin
cnt<=cnt+1;
end

always @(cnt)
begin
case(cnt)
'b000:begin data<=m_one;dp<='d0;scan<='b00000001; end
'b001:begin data<=m_ten;dp<='d0;scan<='b00000010; end
'b010:begin data<=m_hun;dp<='d1;scan<='b00000100; end
'b011:begin data<=m_tho;dp<='d0;scan<='b00001000; end
'b100:begin data<=d_one;dp<='d0;scan<='b00010000; end
'b101:begin data<=d_ten;dp<='d0;scan<='b00100000; end
'b110:begin data<=d_hun;dp<='d1;scan<='b01000000; end
'b111:begin data<=d_tho;dp<='d0;scan<='b10000000; end
default:begin data<='bx;dp<='bx;scan<='bx; end
endcase
end
//---------------------------------7段译码----------------------------------
always @(data)
begin
case(data[3:0])
4'b0000:seg7[6:0]=7'b1111110;
4'b0001:seg7[6:0]=7'b0110000;
4'b0010:seg7[6:0]=7'b1101101;
4'b0011:seg7[6:0]=7'b1111001;
4'b0100:seg7[6:0]=7'b0110011;
4'b0101:seg7[6:0]=7'b1011011;
4'b0110:seg7[6:0]=7'b1011111;
4'b0111:seg7[6:0]=7'b1110000;
4'b1000:seg7[6:0]=7'b1111111;
4'b1001:seg7[6:0]=7'b1111011;
default:seg7[6:0]=7'b0000000;
endcase
end

endmodule



具体的反考周润景老师的那本书。


出租车计价器的单程和往返是什么意思

“单程”和“往返”是两种不同的计费标准。1、“单程”:考虑到出租车行驶可能出现从市区走到郊区,郊区打车人少的现象,有些市开始有出租车的时候就设计了“回程费”这样一个设计。也就是说,在“单程”计价的状态下,一定里程内(比如15公里)按照标准价格收取(比如2元/公里)。超过规定的里程时(比如超过了15公里以上),每公里则多收取50%的回程费用(如果标准价格是每公里2元,这时就要再加上1元的回程费用,与租价一起计算为3元/公里)。2、“往返”:指的是乘客乘坐出租车到目的地之后返回,因此超过规定的里程之后依然按照标准价格收费,因为这种情况下司机不需要空驶返回,因此乘客不用多负担50%的回程费。扩展资料:出租车计价器原理车速信号为脉冲信号,采集脉冲信号的频率就可以得到车速,可以用到芯片的定时器捕获。如果车速大于一定的值,采用公里数计算价格,如果车速小于这个值,就可以用时间计算。通过测量轮胎实际走过的路程,调节供计价器抄显示的脉冲数,使计价器显示的里程与轮胎实际走过的里程相符。

出租车计价表的“单程”和“往返”是什么意思?

出租车计价表的“单程”和“往返”是两种不同的计费标准。1、“单程”:考虑到出租车行驶可能出现从市区走到郊区,郊区打车人少的现象,有些市开始有出租车的时候就设计了“回程费”这样一个设计。也就是说,在“单程”计价的状态下,一定里程内(比如15公里)按照标准价格收取(比如2元/公里)。2、超过规定的里程时(比如超过了15公里以上),每公里则多收取50%的回程费用(如果标准价格是每公里2元,这时就要再加上1元的回程费用,与租价一起计算为3元/公里)。3、单程就是送你到比较远而且比较偏僻的地方,回来时候很少会遇到客人,所以他回来时只能是空车,就要多收回来的油钱和路费。4、“往返”:指的是乘客乘坐出租车到目的地之后返回,因此超过规定的里程之后依然按照标准价格收费,因为这种情况下司机不需要空驶返回,因此乘客不用多负担50%的回程费。5、往返就是指送你到达目的地以后,还会有客人座他的车返回(或去其他地方),因此就便宜,就是你平时在市区"打的"那样。扩展资料1、北京2013年6月6日北京市发改委在官网上公布北京市出租车最终的价格调整方案。2013年6月10日起起步价涨至13元。最终方案或有微调。2、方案中规定,北京市出租汽车价格调整为3公里以内13元,基本单价为每公里2.3元。燃油附加费标准调整为每运次1元。这个最终的方案基本上是和4月23日北京举行的听证会当中的方案一基本上是一致的。3、在低速行驶费和等待费方面,方案规定,在早晚高峰期间,每5分钟将会收取2公里的低速等待费,即在早晚高峰时间等待了5分钟,或者是5分钟内以低于每小时12公里的低速行驶的时候,就会收取4.6钱,从而鼓励司机在早晚高峰的拥堵时段出车。4、在预约叫车服务费方面,4小时以上的提前预约是收取6块钱,4小时以内的预约叫车服务是收取5块钱,这比之前听证会方案中的价格有所降低。其他包括夜行费,夜行的加价费,合乘的收费方法,基本和保持不变。5、整体上看,北京调整后的13+2.3+1块钱的方案和上海、广州、深圳的水平是相当的。遮挡前后车牌 只给手撕发票1、记者咨询了北京市交通服务监督热线“12328”,客服人员说,不存在每公里4.3元的收费标准,北京出租车收费标准统一是白天13元起步价包含3公里;3公里至15公里的部分,每公里2.3元;超过15公里的部分,每公里2.76元。2、记者在路边随机拦了一辆出租车,从北京南站到北京西站,仪表显示的路程为8.5公里,等候时间为7分41秒,收费仅需30元。而记者用导航软件查询发现,从王府井步行街口到宣武门地铁站的路程约为4.6公里,打车费用约为17元。3、通过暗访记者发现,这些议价拉客的出租车,等客时都会将后备箱打开,使监控摄像头看不到后备箱处的车辆号牌。在北京西站的一些出租车,甚至用毛巾将正对监控摄像头方向的号牌进行遮挡。记者看到的所有议价拉客的出租车的副驾驶位置前方,原本写着司机姓名和车牌号的提示卡也被拆除。这些司机表示只能提供手撕发票。4、知情人士透露,通过遮挡号牌,能够防止拉客时车辆号牌被路人或是周边摄像头拍到。手撕发票上没有车牌号,提示卡被藏起来,是为了防止宰客行为被乘客投诉。参考资料:百度百科-出租车参考资料:新华网-暗访北京出租车:"一口价"仍有 8.5公里要价100元

上一篇:充电器原理

下一篇:oclean